热门关键字:  听力密码  单词密码  新概念美语  巧用听写练听力  零起点
图书信息

电路与电子技术Ⅰ—数字电子技术

中国水利水电出版社
    【作 者】主编 郑玉珍 王淑琴 【I S B N 】978-7-5170-9713-6 【责任编辑】王玉梅 【适用读者群】本专通用 【出版时间】2021-12-21 【开 本】16开 【装帧信息】平装(光膜) 【版 次】第1版第1次印刷 【页 数】440 【千字数】524 【印 张】27.5 【定 价】68 【丛 书】普通高等教育电工电子类课程新形态教材 【备注信息】
图书详情

    内 容 提 要

    本书系浙江省普通高校“十三五”新形态教材。为适应新工科背景下电类专业人才培养需求,编者以OBE教育理念为指导,学习和借鉴诸多电路与电子技术类优秀教材,对传统的“电路原理”“模拟电子技术”和“数字电子技术”三门专业基础课程内容进行整合,形成《电路与电子技术Ⅰ—数字电子技术》《电路与电子技术Ⅱ—电路分析基础》和《电路与电子技术Ⅲ—模拟电子技术》系列教材。

    《电路与电子技术Ⅰ—数字电子技术》适用于在低年级开展数字电路教学,主要内容包括电路基本概念和基本定律、电路分析基本方法、数制与编码、逻辑代数基础、基本逻辑门电路、组合逻辑电路的分析与设计、触发器、时序逻辑电路的分析与设计、半导体存储器及其应用、脉冲发生与整形电路、数模转换器与模数转换器、现代数字电路设计概述等。本书融入课程思政,通过“探究研讨”案例开展小组合作学习,突出强基础、重应用的特色,注重培养学生的高级思维和综合应用能力。

    本书配套多媒体教学课件、微课视频、在线测试、课后习题与参考答案等,通过扫描相应位置的二维码就能获得在线教学资源,便于开展线上、线下混合式教学。

    本书可作为应用型普通高等院校电气与电子信息类等本科专业的基础课程教材,也可用于高职院校电气或电子类等专业的基础课程教学,以及作为相关专业技术人员的参考用书。

    形态新颖

    引入微课等新形态,适应互联网+ 时代需要

    视频资源

    丰富的教学资源使疑点难点迎刃而解

    探究研讨

    开展小组合作学习,培养学生的高级思维和综合应用能力

    在线测试

    每章均配有课后练习题,便于学生自我检测

    课程思政

    将思政元素融入专业,构建全方位育人格局

    前 言

    “电路与电子技术”是普通高校电气与电子信息类专业的重要基础课程,通常分为“电路原理”“模拟电子技术”和“数字电子技术”三门课程来开展教学,其中,“电路原理”主要介绍电路的基本概念、定律和分析方法,要求具备高等数学和电磁学等基础数理知识;“模拟电子技术”主要介绍各种半导体器件和线性集成电路的特性、电路分析和应用;“数字电子技术”以逻辑代数为数学基础,主要介绍逻辑电路分析设计和数字集成电路应用。“电路与电子技术”课程在电类专业人才培养中具有极其重要的地位和作用,使学生具备电路与电子技术等工程基础知识,能够识别、分析和解决工程实践中的电类相关问题。

    随着电子技术的快速发展,尤其是数字电子技术的发展速度几乎呈现指数规律,电子技术在现代科学技术领域中越发占有极为重要的地位。伴随着半导体集成电路技术不断向高密度、高速度和低功耗的方向取得突破,微处理器技术和大规模可编程逻辑器件得到越来越广泛的应用,人工智能、机器人等新的产业形态不断涌现。高校电气和电子信息类专业跟踪新技术发展,除了原有的单片机和微机等课程之外,相应推出了DSP技术、EDA技术、嵌入式系统等以数字电子技术为基础的专业技术课程。为了适应新形势下对创新型人才的需求,很多高校将数字电子技术的课程教学前移,使之成为开启学生学习电路与电子技术的第一门专业基础课程。实践证明,只要对电路与电子技术三门基础课程的内容进行有机整合,那么,“数字电子技术”课程前移不但具有可行性,而且对培养学生创新实践能力具有显著优势。

    本套书针对电路与电子技术课程改革而编写,按照“数字电子技术”“电路分析基础”和“模拟电子技术”的教学顺序对课程内容进行调整,同时,根据教育部对高校课程建设提出的“两性一度”要求,结合电路与电子技术领域的最新发展成果,在保证基础的同时,强调应用性,特别是数字电子技术部分,注意引入现代数字系统设计的新理念和新方法,以适应新工科背景下的人才培养需求。本套书以学业产出导向的OBE理念为特色,以培养应用型人才为目标,学习借鉴了电路与电子技术众多相关优秀教材,为顺利开展教学配套了丰富的教学资源。本书在每一章前面都提出本章课程目标,并配套在线测试题库,便于读者自测学习效果;本书为每一章提供课后习题和参考答案;为培养学生综合学习能力和开展课程思政,书中提供多个探究研讨案例,要求学生课外通过小组合作学习,理论联系实践,并思考工程师职责和伦理。读者在使用本书时不需将精力大量地放在元器件的内部结构和物理原理上,而应更多地注意学习和掌握其外部特性、分析方法和实际应用。

    本套书的数字电子技术部分由浙江科技学院的郑玉珍、王淑琴、孙月兰、朱广信、张志飞和浙江机电职业技术学院的代红艳等共同完成,郑玉珍定稿,刘思远、戴实通等协助完成部分绘图工作。全书共十二章,分别是:电路基本概念和基本定律、电路分析基本方法、数制与编码、逻辑代数基础、基本逻辑门电路、组合逻辑电路的分析与设计、触发器、时序逻辑电路的分析与设计、半导体存储器及其应用、脉冲发生与整形电路、数模转换器与模数转换器、现代数字电路设计概述。

    电路分析基础部分由浙江科技学院的陈晓、金哲、夏红、孙月兰、王淑琴等共同完成,陈晓定稿。全书共十章,内容主要包括电路定理、含有运算放大器的电阻电路、电容元件和电感元件、正弦稳态电路的分析、含有耦合电感的正弦稳态电路、三相电路、非正弦周期电流电路的分析、一阶线性动态电路的时域分析、线性动态电路的复频域分析、二端口网络,以及基于Multisim的电路仿真(附录)。

    模拟电子技术部分由浙江科技学院的刘峰、孙勇智、郑玉珍、于爱华、徐宏飞等共同完成,刘峰定稿。全书内容共十章,分别是:绪论、半导体二极管及其基本电路、三极管及其放大电路、场效应管及其放大电路、模拟集成电路、功率放大电路、集成运算放大器及其应用、放大电路中的负反馈、信号发生与有源滤波电路、直流稳压电路。

    本书在编写过程中,参考了大量国内外相关教材和技术资料,以及相关网站的公开资料,在此对这些资料的作者表示衷心的感谢!由于编者水平有限,书中难免存在错误或不当之处,恳请读者批评指正!

    编 者

    2021年4月

    前言
    第1章 电路基本概念和基本定律 1
    1.1 电路组成和电路模型 1
    1.1.1 电路组成 1
    1.1.2 电路模型 2
    1.2 基本电量和电流、电压的参考方向 3
    1.2.1 基本电量 3
    1.2.2 电流和电压的参考方向 6
    1.3 电路基本元件 8
    1.3.1 电阻元件 9
    1.3.2 独立电源 11
    1.3.3 受控电源 13
    1.4 基尔霍夫定律 15
    1.4.1 常用电路术语 15
    1.4.2 基尔霍夫电流定律 16
    1.4.3 基尔霍夫电压定律 17
    本章重点小结 20
    习题 20
    第2章 电路分析基本方法 25
    2.1 等效变换的概念 25
    2.2 电阻电路的等效变换 26
    2.2.1 电阻串联的等效变换 26
    2.2.2 电阻并联的等效变换 28
    2.2.3 电阻混联的等效变换 29
    2.2.4 电阻Y形联结和△形联结的等效变换 31
    2.3 电源电路的等效变换 36
    2.3.1 理想电源的串联和并联 36
    2.3.2 实际电源模型及其等效变换 37
    2.4 无源一端口网络的输入电阻 41
    2.5 支路电流法 44
    2.5.1 独立的支路电流方程数 44
    2.5.2 支路电流法基本步骤 46
    2.5.3 特殊形态电路的处理方法 47
    2.6 网孔电流法和回路电流法 49
    2.6.1 网孔电流法 49
    2.6.2 网孔电流方程 50
    2.6.3 回路电流法 53
    2.6.4 含电流源电路的回路电流方程 54
    2.6.5 含受控源电路的回路电流方程 55
    2.7 结点电压法 56
    2.7.1 结点电压方程一般式 57
    2.7.2 含独立电压源的结点电压方程 58
    2.7.3 含受控源电路的结点电压方程 62
    2.7.4 含电流源与某元件串联支路的结点电压方程 62
    本章重点小结 63
    探究研讨:特高压直流输电技术 64
    习题 65
    第3章 数制与编码 75
    3.1 数字电路概述 75
    3.1.1 模拟信号和数字信号 75
    3.1.2 数字电路的特点 76
    3.2 数字电路中的数制 77
    3.2.1 二进制(Binary) 78
    3.2.2 十六进制(Hexadecimal)与八进制(Octal) 80
    3.2.3 数制间的转换 81
    3.3 数字电路中的编码 83
    3.3.1 二-十进制码(BCD码) 83
    3.3.2 带符号位的二进制码 85
    3.3.3 其他编码 89
    本章重点小结 92
    习题 93
    第4章 逻辑代数基础 94
    4.1 逻辑代数的基本运算 94
    4.1.1 三种基本逻辑运算 95
    4.1.2 复合逻辑运算 99
    4.2 逻辑代数运算的基本规则 103
    4.2.1 逻辑代数的基本公式 103
    4.2.2 逻辑代数的基本定律 103
    4.2.3 逻辑代数的三个重要规则 105
    4.3 逻辑函数的表达方法 106
    4.3.1 逻辑函数的建立 106
    4.3.2 逻辑函数的描述方法 107
    4.3.3 逻辑函数描述方法的相互转换 110
    4.3.4 逻辑函数的两种标准形式 112
    4.4 逻辑函数的化简方法 117
    4.4.1 逻辑函数的卡诺图表示法 118
    4.4.2 卡诺图化简逻辑函数 121
    本章重点小结 129
    习题 129
    第5章 基本逻辑门电路 134
    5.1 半导体器件的开关特性 135
    5.1.1 半导体导电原理 136
    5.1.2 半导体二极管的开关特性 139
    5.1.3 半导体三极管的开关特性 141
    5.1.4 MOS管的结构与工作原理 143
    5.2 分立元件逻辑门电路 146
    5.2.1 二极管与门电路 146
    5.2.2 二极管或门电路 147
    5.2.3 三极管非门电路 148
    5.3 集成逻辑门电路 149
    5.3.1 TTL集成逻辑门电路 149
    5.3.2 CMOS集成逻辑门电路 158
    5.4 集成逻辑门电路的特性与使用 165
    5.4.1 集成逻辑门电路的特性 165
    5.4.2 集成逻辑门的使用 172
    本章重点小结 174
    习题 175
    第6章 组合逻辑电路的分析与设计 179
    6.1 概述 179
    6.2 组合逻辑电路的分析 180
    6.3 组合逻辑电路的设计 182
    6.4 编码器 186
    6.4.1 二进制编码器 188
    6.4.2 二进制优先编码器 189
    6.4.3 BCD码优先编码器 193
    6.5 译码器 194
    6.5.1 二进制译码器的结构与原理 194
    6.5.2 二进制译码器的应用 196
    6.5.3 二-十进制译码器 198
    6.5.4 显示译码器 200
    6.6 数据选择器 203
    6.6.1 集成数据选择器 204
    6.6.2 用数据选择器实现组合逻辑函数 206
    6.7 数据分配器 210
    6.8 加法器 212
    6.8.1 半加器 212
    6.8.2 全加器 213
    6.8.3 多位加法器 214
    6.9 数值比较器 217
    6.9.1 1位数值比较器 217
    6.9.2 多位数值比较器 218
    6.10 广义译码器 219
    6.11 组合逻辑电路中的竞争与冒险 222
    6.11.1 基本概念 222
    6.11.2 冒险现象的判别 224
    6.11.3 冒险现象的解决 225
    本章重点小结 226
    习题 227
    第7章 触发器 233
    7.1 概述 233
    7.2 基本RS触发器 234
    7.2.1 基本RS触发器的电路结构和工作原理 234
    7.2.2 基本RS触发器的功能描述 235
    7.3 钟控触发器 239
    7.3.1 钟控RS触发器 239
    7.3.2 钟控D触发器 241
    7.4 主从触发器 244
    7.4.1 主从RS触发器 245
    7.4.2 主从JK触发器 247
    7.5 边沿触发器 251
    7.5.1 边沿D触发器 251
    7.5.2 边沿JK触发器 256
    7.6 触发器的逻辑功能及相互转换 257
    7.6.1 触发器的逻辑功能 258
    7.6.2 JK触发器转换为其他类型触发器 261
    7.6.3 D触发器转换为其他类型触发器 262
    7.7 触发器的动态特性 263
    本章重点小结 264
    习题 265
    第8章 时序逻辑电路的分析与设计 269
    8.1 时序逻辑电路概述 269
    8.2 时序逻辑电路分析方法 271
    8.2.1 同步时序电路分析举例 272
    8.2.2 异步时序电路分析举例 277
    8.3 基于触发器的时序电路设计方法 280
    8.3.1 时序逻辑电路设计方法 280
    8.3.2 同步时序电路设计举例 282
    8.4 集成电路寄存器及其应用 287
    8.4.1 基本寄存器 288
    8.4.2 移位寄存器 289
    8.4.3 寄存器扩展电路 290
    8.4.4 环形计数器和扭环形计数器 291
    8.5 集成电路计数器及其应用 293
    8.5.1 二进制计数器 294
    8.5.2 集成电路计数器 296
    8.5.3 任意进制计数器设计 297
    8.5.4 顺序脉冲发生器 301
    8.5.5 序列信号发生器 303
    8.6 有限状态机的概念和设计 305
    8.6.1 有限状态机概念 305
    8.6.2 有限状态机的设计 306
    8.6.3 Quatus II中有限状态机仿真分析 308
    本章重点小结 308
    习题 310
    第9章 半导体存储器及其应用 316
    9.1 存储器分类与性能指标 316
    9.2 只读存储器(ROM) 318
    9.2.1 ROM结构和原理 318
    9.2.2 ROM的编程与分类 320
    9.2.3 用ROM实现组合逻辑函数 322
    9.3 随机存取存储器(RAM) 323
    9.3.1 静态存储器(SRAM) 324
    9.3.2 动态存储器(DRAM) 325
    9.4 存储器的读写操作 326
    9.4.1 存储器的读操作 326
    9.4.2 存储器的写操作 327
    9.5 存储器的扩展方法 328
    9.5.1 位扩展方式 328
    9.5.2 字扩展方式 329
    本章重点小结 330
    习题 331
    第10章 脉冲发生与整形电路 333
    10.1 多谐振荡器 333
    10.1.1 环形多谐振荡器 334
    10.1.2 门电路构成多谐振荡器 335
    10.1.3 石英晶体振荡电路 336
    10.2 单稳态触发器 337
    10.2.1 门电路组成单稳态电路 337
    10.2.2 集成电路单稳态触发器 340
    10.3 施密特触发电路 343
    10.3.1 施密特触发电路的特性 343
    10.3.2 施密特触发电路的应用 344
    10.4 555定时器 346
    10.4.1 555定时器电路结构与功能 346
    10.4.2 555定时器应用 348
    本章重点小结 352
    习题 352
    第11章 数模转换器与模数转换器 354
    11.1 数模转换器(DAC) 355
    11.1.1 DAC的原理与结构 355
    11.1.2 权电阻网络DAC 356
    11.1.3 倒T型电阻网络DAC 358
    11.1.4 主要技术指标 360
    11.1.5 集成电路DAC及其应用电路 361
    11.2 模数转换器(ADC) 364
    11.2.1 A/D转换工作原理 364
    11.2.2 并行比较型ADC 367
    11.2.3 双积分型ADC 370
    11.2.4 逐次逼近型ADC 372
    11.2.5 主要技术指标 373
    11.2.6 集成电路ADC及其应用电路 374
    本章重点小结 379
    习题 380
    第12章 现代数字电路设计概述 382
    12.1 EDA技术概述 382
    12.1.1 EDA技术发展历程 383
    12.1.2 EDA技术特点 385
    12.1.3 EDA技术发展趋势 385
    12.2 可编程逻辑器件 386
    12.2.1 可编程逻辑器件分类 387
    12.2.2 PLD电路符号表示方法 388
    12.2.3 PLD器件的基本结构 389
    12.3 硬件描述语言HDL 401
    12.3.1 HDL概述 401
    12.3.2 Verilog HDL基本结构 402
    12.3.3 Verilog HDL的描述方式 407
    12.3.4 Verilog HDL的基本语法 409
    12.4 EDA集成开发工具 411
    12.4.1 EDA开发工具概述 411
    12.4.2 QuartusII集成开发工具 412
    本章重点小结 418
    习题 418
    习题参考答案 419
    参考文献 428
最新评论共有 0 位网友发表了评论
发表评论
评论内容:不能超过250字,需审核,请自觉遵守互联网相关政策法规。
用户名: 密码:
匿名?
注册